Applied Materials’ Equipment Market Share Plummets 5% Below ASML in 2023 Says The Information Network

New Tripoli, PA, March 07, 2024 --(PR.com)-- Applied Materials relinquishment of its top position - a status it maintained for two decades, with the exception of 2019 when ASML previously claimed the lead, according to the report “Global Semiconductor Equipment: Markets, Market Shares and Market Forecasts,” recently published by The Information Network, (www.theinformationnet.com) a New Tripoli, PA-based market research company.

“I believe that 2024 will be a challenging year for Applied Materials, tied to ASML's sanctioned high-end DUV lithography systems to China, which would have required AMAT's etch and deposition equipment for multi-patterning, and ASML's High-NA EUV lithography equipment, which doesn't require AMATs equipment,” reported Dr. Robert Castellano, President of The Information Network.

“Applied Materials’ semiconductor revenues grew by just $26 million QoQ in the latest quarter despite strong revenue from China,” added Dr. Castellano. “For 2023, revenue dropped $253 million YoY. This compares to ASML, which grew $345 million QoQ and $7.9 billion YoY for the calendar year.”
Contact
The Information Network
Robert Castellano
610-285-4548
www.theinformationnet.com
ContactContact
Categories